DRS4 Forum
  DRS4 Discussion Forum, Page 38 of 45  Not logged in ELOG logo
IDup Date Author Subject Text Attachments
  756   Tue Jun 25 23:04:29 2019 Si Xiedrs_exam is always reading out a sin waveWe are using the drs_exam.cpp to read out
waveforms, but it seems to be outputting
only sin waves on all channels - as if it
  
  757   Wed Jun 26 13:08:42 2019 Stefan Rittdrs_exam is always reading out a sin waveSure, that’s correct. The example
program turns on the internal sine wave generator
in case people don’t have a real signal.
  
  758   Wed Jun 26 15:10:09 2019 Si Xiedrs_exam is always reading out a sin waveI see. Where is the code that we can use
to turn off the generator? I thought the
example is taking data with CH1 as the trigger.
  
  759   Wed Jun 26 15:17:51 2019 Si XieRunning drs_example.cppHi Rodrigo, I'm wondering how you solved
your original triggering problem. We are
also having trouble with collecting data
  
  760   Mon Jul 8 14:29:12 2019 Stefan Rittdrs_exam is always reading out a sin waveActually in the original drs_exam.cpp the
sine wave oscillator is turned off with this
command
  
  761   Sat Jul 13 01:00:15 2019 Brendan PosehnEvaluation Board Test FunctionalityHello, 

I have recently obtained a DRS4
Evaluation Board (V5), but I am unable to
  
  762   Mon Jul 15 17:26:50 2019 Stefan RittEvaluation Board Test FunctionalityHave you set the trigger correctly to the
channel with your signal, polarity and level?
Do you undersand the difference between normal
  
  763   Mon Jul 15 19:34:25 2019 Brendan PosehnEvaluation Board Test FunctionalityHello Stefan, 

Thanks for the quick reply. The
issue was a faulty SMA connector, should
  
  764   Thu Jul 18 01:03:44 2019 Ismael GarciaTrace Impedance

Hi Steffan,

         
 DRS4_Analog_IN.PNG 
  765   Thu Jul 18 11:37:56 2019 Stefan RittTrace ImpedanceThe requiremnet is the same as for any
high speed analog board, there is othing
special with the DRS4. If you want to terminate
  
  766   Fri Jul 19 01:37:09 2019 Ismael GarciaTrace ImpedanceWhen you're refering to laying a 50
Ohm trace, you're referring to the SMA
input and not the interface between the output
  
  767   Sat Jul 20 12:28:14 2019 Stefan RittTrace ImpedanceThe DRS4 input is high impedance. So if
you like you can terminate it with 100 Ohm
differentially and route it with 100 Ohm.
  
  768   Mon Aug 19 23:01:22 2019 Bill Ashmanskasshould one deassert DENABLE while writing the write-shift register?Hi Stefan,

We have for some time now been
using custom firmware on a custom board to
  
  769   Tue Aug 20 10:44:45 2019 Stefan Rittshould one deassert DENABLE while writing the write-shift register?Hi Bill,

you keep DENABLE active all the
time to keep the Domino Wave running, but
  
  770   Tue Aug 20 16:05:21 2019 Bill Ashmanskasshould one deassert DENABLE while writing the write-shift register?Aha -- many thanks.  I think what
tripped up my test logic is that the "done"
state in drs4_eval5_app.vhd that executes
  
  771   Tue Aug 27 08:33:22 2019 chinmay basuDRS4Is DRS4 suitable for use with Silicon surface
barrier detectors?
  
  772   Tue Aug 27 09:14:03 2019 Stefan RittDRS4Is a 5 GSPS oscilloscope suitable for use
with Silicon surface barier detectors?

  
  773   Fri Sep 13 15:27:41 2019 Arseny RybnikovScaler / How to modify the firmware to change the scaler integration timeHello,

We want to use the inner DRS4 counter(scaler)
within more than the 100ms integration
  
  774   Mon Oct 14 09:32:33 2019 Danyanghow to acquire the stop position with channel cascadingHi Steffan,

       In DSR4
DATASHEET Rev.0.9 Page13,  there is
 Capture.PNG 
  775   Mon Oct 14 10:14:46 2019 Stefan Ritthow to acquire the stop position with channel cascadingYou first set A3-A0, on the next clock
cycle you issue pulses on srclk, and about
10ns after each clock pulse the output shows
  
ELOG V3.1.5-fe60aaf