DRS4 Forum
  DRS4 Discussion Forum  Not logged in ELOG logo
Entry  Wed Feb 22 11:36:51 2012, sonal, DRS4- analog pulse counting 
    Reply  Fri Feb 24 15:52:43 2012, Stefan Ritt, DRS4- analog pulse counting 
       Reply  Wed Feb 29 06:46:47 2012, Sonal, DRS4- analog pulse counting 
          Reply  Thu Mar 1 19:22:26 2012, Stefan Ritt, DRS4- analog pulse counting 
             Reply  Wed Mar 6 12:35:38 2013, Osip Lishilin, DRS4- analog pulse counting 
                Reply  Wed Mar 6 12:37:14 2013, Stefan Ritt, DRS4- analog pulse counting 
                   Reply  Mon May 20 08:42:16 2013, Osip Lishilin, DRS4- analog pulse counting 
                      Reply  Sat May 25 21:03:22 2013, Stefan Ritt, DRS4- analog pulse counting 
Message ID: 155     Entry time: Fri Feb 24 15:52:43 2012     In reply to: 154     Reply to this: 156
Author: Stefan Ritt 
Subject: DRS4- analog pulse counting 

sonal wrote:

Hello Sir,

Regarding to analog pulse counting by using DRS4 Rev.2.0 board, you have said that "There is a way to perform the counting dead time free, but that requires the V4 board, which has a hardware comparator on all four channels (The V2 board has only one comparator and a multiplexer). The output of these comparators go directly to the FPGA, which can then trigger on these signals. In principle one could implement a hardware counter in the FPGA, which works practically dead time free. But this requires a new firmware which has to be written. Either you do it yourself using the Xilinx development tools, or you wait until I find some time to implement this, which could take a couple of weeks or even months."

I am interested in it. I have DRS4 Rev.2.0 board. I have FPGA and Microcontroller firmware for this board. How can I implement this concept of counting pulses?

 


As I said above, you need a V4 board which has the four hardware comparators mounted. You cannot do that with the V2 board, even with a firmware upgrade.

The firmware upgrade for the V4 board is not yet ready and I won't have time for that in the next 3-4 weeks, but afterwards maybe.

Best regards,

Stefan 

ELOG V3.1.5-fe60aaf