DRS4 Forum
  DRS4 Discussion Forum  Not logged in ELOG logo
Entry  Tue Dec 10 14:48:42 2013, ismail okan atakisi, measurement range 
    Reply  Tue Dec 10 14:54:46 2013, Stefan Ritt, measurement range 
Message ID: 315     Entry time: Tue Dec 10 14:48:42 2013     Reply to this: 316
Author: ismail okan atakisi 
Subject: measurement range 

I m trying to measure lifetime in our lab and I intend to take
measurement with DRS4 at that point I have a little bit confused about
DRS4 time range.In My system I opened 10 us gate but after triggering
DRS4 measure nearly 1.2 us. Because of this I want to extend DRS4 time range that
measurement range from 1.2us to 10 us.  

ELOG V3.1.5-fe60aaf