DRS4 Forum
  DRS4 Discussion Forum  Not logged in ELOG logo
Entry  Mon Oct 25 18:48:04 2021, Javier Caravaca, Trigger multiple boards independently 
    Reply  Tue Oct 26 12:02:56 2021, Stefan Ritt, Trigger multiple boards independently 
       Reply  Tue Oct 26 23:18:32 2021, Javier Caravaca, Trigger multiple boards independently 
          Reply  Wed Oct 27 08:11:42 2021, Stefan Ritt, Trigger multiple boards independently 
Message ID: 845     Entry time: Tue Oct 26 12:02:56 2021     In reply to: 842     Reply to this: 847
Author: Stefan Ritt 
Subject: Trigger multiple boards independently 

Unfortunately an independent operation from a single computer is not supported by the software. You can try to modify the drs_exam program and extend it. You can poll all boards in sequence and just read out that one which got a trigger, then start the loop again. But I don't know how good you are in programming. I needs a bit of experience to do that.

Stefan

Javier Caravaca wrote:

Hello,

I recently acquired 4 DRS4 boards and I wanted to ask if it was possible to trigger them independently from the same computer.

I know that you can daisy-chain boards and trigger them all at the same time, but in my case, each of my boards record independent events, so I want them to trigger when trigger conditions are met in each board. I currently use the provided DRSOSC software, that can trigger on only the board that is being displayed at that moment. I tried opening several instances of DRSOSC to associate each to each board, but that is not possible since the second instance already does not find the boards. I wonder if there is a way of triggering from each board independently without having to use four computers.

Thank you,

Javier.

 

ELOG V3.1.5-fe60aaf