DRS4 Forum
  DRS4 Discussion Forum  Not logged in ELOG logo
Entry  Wed Aug 7 15:05:59 2013, Hermann-Josef Mathes, Repeated time calibration 
    Reply  Wed Aug 7 15:10:57 2013, Stefan Ritt, Repeated time calibration 
       Reply  Wed Aug 7 15:20:33 2013, Hermann-Josef Mathes, Repeated time calibration 
          Reply  Wed Feb 5 13:41:42 2014, Stefan Ritt, Repeated time calibration 
Message ID: 283     Entry time: Wed Aug 7 15:10:57 2013     In reply to: 282     Reply to this: 284
Author: Stefan Ritt 
Subject: Repeated time calibration 

Hermann-Josef Mathes wrote:

Hi,

 

is there any (obvious) reason why it is not possible (or not indended) to repeat the time calibration of a DRS4 eval board several times. I get the shown error message from the 'drscl' tool as well when I try to call the corresponding method in the support library:

mathes@ikauger5:~/src/DRS4> drs-4.0.1/drscl
DRS command line tool, Revision 20430
Type 'help' for a list of available commands.

Found DRS4 board  0 on USB, serial #2362, firmware revision 17662

B0> tcalib
Enter calibration frequency [GHz]: 5
Creating Timing Calibration of Board #2362
[==================================================]
B0> tcalib 5
Creating Timing Calibration of Board #2362
Error performing timing calibration, please check waveforms

 

As I will be in holidays, the answer is not urgent.

Thanks

 -- Hermann-Josef

 

Ups, this is certainly a bug. Try to restart drscl between calibrations. Anyhow the calibration is poor (~20ps), so in a month or two we will have a much better one (~3ps), but that needs a new board (then will be called V5).

/Stefan

 

ELOG V3.1.5-fe60aaf