DRS4 Forum
  DRS4 Discussion Forum  Not logged in ELOG logo
Entry  Tue Oct 17 14:58:58 2017, Vadym Denysenko, Time offset  
    Reply  Wed Oct 18 09:12:26 2017, Stefan Ritt, Time offset  
       Reply  Wed Oct 18 11:48:14 2017, Vadym Denysenko, Time offset  
Message ID: 633     Entry time: Tue Oct 17 14:58:58 2017     Reply to this: 634
Author: Vadym Denysenko 
Subject: Time offset  

Hello.

 

I have a simple question, can I set SetTriggerDelayNs() more than 1631 ns?

I need to set this delay to about 5 us... Can I do this? 

 

Thank you in advance! 

 

With best regards, 

Vadym

ELOG V3.1.5-fe60aaf