DRS4 Forum
  DRS4 Discussion Forum  Not logged in ELOG logo
Entry  Tue Oct 17 14:58:58 2017, Vadym Denysenko, Time offset  
    Reply  Wed Oct 18 09:12:26 2017, Stefan Ritt, Time offset  
       Reply  Wed Oct 18 11:48:14 2017, Vadym Denysenko, Time offset  
Message ID: 635     Entry time: Wed Oct 18 11:48:14 2017     In reply to: 634
Author: Vadym Denysenko 
Subject: Time offset  

Thank you for your reply!

Stefan Ritt wrote:

No this is not possible. But you can delay your signal externally (like with a delay cable or electronically) and then send the dealyed signal to the evaluation board for triggering.

Stefan

Vadym Denysenko wrote:

Hello.

 

I have a simple question, can I set SetTriggerDelayNs() more than 1631 ns?

I need to set this delay to about 5 us... Can I do this? 

 

Thank you in advance! 

 

With best regards, 

Vadym

 

 

ELOG V3.1.5-fe60aaf